Nova Ltd. Share Price

Equities

NVMI

IL0010845571

Semiconductors

Market Closed - Nasdaq 21:00:00 26/04/2024 BST 5-day change 1st Jan Change
174.3 USD +2.00% Intraday chart for Nova Ltd. +9.02% +26.89%
Sales 2024 * 580M 46.42B Sales 2025 * 670M 53.61B Capitalization 5.06B 405B
Net income 2024 * 149M 11.92B Net income 2025 * 180M 14.4B EV / Sales 2024 * 8.72 x
Net cash position 2024 * - 0 Net cash position 2025 * - 0 EV / Sales 2025 * 7.55 x
P/E ratio 2024 *
37.8 x
P/E ratio 2025 *
31.5 x
Employees 1,202
Yield 2024 *
-
Yield 2025 *
-
Free-Float 99.99%
More Fundamentals * Assessed data
Dynamic Chart
1 day+2.00%
1 week+9.02%
Current month-1.71%
1 month-1.71%
3 months+19.65%
6 months+91.90%
Current year+26.89%
More quotes
1 week
162.18
Extreme 162.18
177.15
1 month
158.48
Extreme 158.475
182.92
Current year
128.74
Extreme 128.74
190.51
1 year
87.85
Extreme 87.85
190.51
3 years
67.40
Extreme 67.4
190.51
5 years
24.79
Extreme 24.79
190.51
10 years
8.57
Extreme 8.57
190.51
More quotes
Managers TitleAgeSince
Chief Executive Officer 53 31/12/15
Chairman 58 31/10/10
Director of Finance/CFO 54 31/03/98
Members of the board TitleAgeSince
Director/Board Member 70 25/02/08
Director/Board Member 65 31/05/14
Director/Board Member 69 09/02/14
More insiders
Date Price Change Volume
26/04/24 174.3 +2.00% 158,748
25/04/24 170.9 +2.61% 83,794
24/04/24 166.6 -0.96% 936,428
23/04/24 168.2 +3.32% 171,447
22/04/24 162.8 +1.79% 166,756

Delayed Quote Nasdaq, April 26, 2024 at 09:00 pm

More quotes
Nova Ltd, former Nova Measuring Instruments Ltd,is an Israel based company which provides metrology solutions for the semiconductor manufacturing industry. The Company offers in-line Optical and x-ray stand-alone metrology systems, as well as integrated optical metrology systems, which are attached directly to wafer fabrication process equipment. Its metrology systems measure various film thickness and composition properties, as well as critical-dimension (CD) variables during various front-end and back-end of line steps in the semiconductor wafer fabrication process. Its product portfolio includes a set of in-situ, integrated and stand-alone metrology platforms suited for dimensional, films and material metrology measurements for process control across multiple semiconductor manufacturing process steps. Its products include NovaScan 2040, NovaScan 3090Next, Nova i500, Nova T500, Nova T600, Nova V2600 TSV metrology system among others.
Calendar
More about the company
Trading Rating
Investor Rating
ESG Refinitiv
C-
More Ratings
Sell
Consensus
Buy
Mean consensus
BUY
Number of Analysts
5
Last Close Price
174.3 USD
Average target price
195.8 USD
Spread / Average Target
+12.28%
Consensus